当前位置: 首页 > news >正文

wordpress新主题网站优化查询代码

wordpress新主题,网站优化查询代码,微商分销模式,福建省建设执业资格注册管理中心网站编写乘法器求解算法表达式 描述 编写一个4bit乘法器模块,并例化该乘法器求解c12*a5*b,其中输入信号a,b为4bit无符号数,c为输出。注意请不要直接使用*符号实现乘法功能。 模块的信号接口图如下: 要求使用Verilog HDL语言实现以上…

编写乘法器求解算法表达式

描述

编写一个4bit乘法器模块,并例化该乘法器求解c=12*a+5*b,其中输入信号a,b为4bit无符号数,c为输出。注意请不要直接使用*符号实现乘法功能。

模块的信号接口图如下:

    要求使用Verilog HDL语言实现以上功能,并编写testbench验证模块的功能。

输入描述:

clk:系统时钟信号

rst_n:复位信号,低电平有效

a:输入信号,位宽为4bit

b:输入信号,位宽为4bit

输出描述:

c:输出信号

解题思路:

移位运算与乘法的关系如下:

左移n位表示2^n;

右移n位表示2^n;

当直接在一个always语句块中写入代码,如下所示:

`timescale 1ns/1nsmodule calculation(input clk,input rst_n,input [3:0] a,input [3:0] b,output [8:0] c);wire [8:0] a_9, b_9;reg [8:0] c_r;assign a_9 = {5'b0_0000, a[3:0]};assign b_9 = {5'b0_0000, b[3:0]};always @(posedge clk or negedge rst_n) beginif (!rst_n) c_r <= 9'b0_0000_0000;else c_r <= (a_9<<3)+(a_9<<2)+(b_9<<2)+b_9;endassign c = c_r;endmodule

可发现其波形如下:

如红色方框所示,最终输出C的值是在第二个时钟上升沿的到来时才正常输出,因此在信号a,b输入时,应该打一拍

正确的代码如下:
`timescale 1ns/1nsmodule calculation(input clk,input rst_n,input [3:0] a,input [3:0] b,output [8:0] c);reg [8:0] a_9, b_9;reg [8:0] c_r;always @(posedge clk or negedge rst_n) beginif (!rst_n) begina_9 <= 9'b0_0000_0000;b_9 <= 9'b0_0000_0000;endelse begina_9 <= {5'b0_0000, a[3:0]};b_9 <= {5'b0_0000, b[3:0]};endendalways @(posedge clk or negedge rst_n) beginif (!rst_n) c_r <= 9'b0_0000_0000;else c_r <= (a_9<<3)+(a_9<<2)+(b_9<<2)+b_9;endassign c = c_r;endmodule

http://www.jinmujx.cn/news/77172.html

相关文章:

  • 微信官网下载安装windows10优化大师
  • 如何百度搜到网站网盘资源共享群吧
  • 学校做安全台账是哪个网站网络营销的类型
  • 网站建设选哪家公司好宣传推广图片
  • 免费免费建网站河源seo
  • 山东网站建设平台电脑培训学校学费多少
  • php做购物网站系统手机创建网站教程
  • 做网站横幅的图片军事新闻
  • 电子商务网站商品怎么来windows优化大师下载
  • 有趣的网站有哪些推荐seo难不难
  • 公司域名不变网站做变动学生制作个人网站
  • 大连网站设计开发百度指数可以用来干什么
  • 邯郸网站优化百度一下百度官方网
  • 网站的链接结构怎么做一个新的app如何推广
  • 济南做网站公司哪家好华与华营销策划公司
  • 网站建设和维护采购协议百度云官网入口
  • 武汉市人民政府令第234号宁波seo关键词培训
  • 用brackets做网站宁德市安全教育平台
  • 武汉做网站的公司排名谷歌浏览器 安卓下载2023版官网
  • 广州在线图文网络科技中心网站建设移动端关键词排名优化
  • 网站需要什么费用天津百度快速优化排名
  • zencart网站管理网站网络营销推广
  • 如何手机做网站如何做网站推广及优化
  • 怎么做同学录的网站如何推广一个品牌
  • 电子商务b2c网站建设引擎seo如何优化
  • 学做家常菜去那个网站保定百度推广优化排名
  • 文案素材网站国内可访问的海外网站和应用
  • 河南平台网站建设哪里有百度一下首页百度
  • 网站开发网站开发网址查询地址查询
  • 手机端网站开发流程seo网站快排