当前位置: 首页 > news >正文

阳江做网站多少钱seo优化的优点

阳江做网站多少钱,seo优化的优点,沈阳网站推广,时时彩网站建设公司modelsim的图像界面加载缓慢,实际上modelsim可以在纯命令行环境下仿真,使用-c参数:vsim -c。可以在WSL下用makefile运行Windows下的modelsim: HDL_CODE . HDL_CODE ../../rtl/ MODELSIM_ROOT : /mnt/e/exe/modeltech64_10.4/win…

modelsim的图像界面加载缓慢,实际上modelsim可以在纯命令行环境下仿真,使用-c参数:vsim -c。可以在WSL下用makefile运行Windows下的modelsim:

HDL_CODE      += .
HDL_CODE      += ../../rtl/
MODELSIM_ROOT := /mnt/e/exe/modeltech64_10.4/win64
TB := $(wildcard *tb*)
TB := $(basename $(TB))MODELSIM :=$(MODELSIM_ROOT)/modelsim.exe
MVLIB := $(MODELSIM_ROOT)/vlib.exe
MVCOM := $(MODELSIM_ROOT)/vcom.exe
MVLOG := $(MODELSIM_ROOT)/vlog.exe
MVSIM := $(MODELSIM_ROOT)/vsim.exe
VHDL_CODE:=$(addsuffix /*.vhd , $(HDL_CODE))
VHDL_CODE:=$(wildcard $(VHDL_CODE))
VERILOG_CODE:=$(addsuffix /*.v , $(HDL_CODE))
VERILOG_CODE:=$(wildcard $(VERILOG_CODE))simc:makework vhdl verilog$(MVSIM) -c -L work -voptargs=+acc $(TB) -do 'run -all' -do 'exit'simg:makework vhdl verilog$(MVSIM) -L work -voptargs=+acc $(TB) -do 'add wave $(TB)/*' -do 'run -all'vhdl:$(VHDL_CODE)$(MVCOM) -2008 -explicit $(VHDL_CODE)verilog:$(VERILOG_CODE)$(MVLOG) $(VERILOG_CODE)makework:$(MVLIB) workclr:rm -rf vsim* transcript work/.PONY: clr clean

使用make simc在纯命令行下仿真,如果需要同时导出波形文件,请在verilog TB中添加:

initial begin$dumpfile("wave.vcd");     // 波形文件名$dumpvars(0,tbv.u_module); // 抓取层级
end

使用make simg在图形界面仿真并显示波形,好处是可以看到实时波形。make simg和在图形界面运行.do文件进行编译无异。其中add wave $(TB)/*指定要看的层级。

vsim -civerilog的流程相似,都需要生成.vcd文件,再用gtkwave查看波形,比较繁琐,但对于小工程而言很方便。

http://www.jinmujx.cn/news/118972.html

相关文章:

  • 设备租赁业务网站如何做重庆seo多少钱
  • 阿里云虚拟主机做2个网站百度网站怎么优化排名靠前
  • 网站建设的通知搜索引擎网站排名
  • 北京双井网站建设百度客服
  • 免费做三级网站有哪些国外推广网站有什么
  • 网站站点建立网络营销方案策划
  • 开通网站的会计科目怎么做查关键词排名网
  • 用腾讯云服务器做网站合肥网站优化平台
  • 农产品网站建设方案潍坊今日头条新闻
  • 推进市政府门户网站建设可以直接进入网站的正能量
  • 网站建设教程搭建产品优化是什么意思
  • 做lgoo的网站一般有哪些java培训学费多少钱
  • 真实的注册公司代办网站搜索引擎优化工具
  • 做网站需要执照吗宁波seo推广哪家好
  • 做印刷的网站深圳关键词快速排名
  • 好的平面网站模板网站推广排名教程
  • yahoo网站提交jsurl转码
  • 建筑工程公司管理制度企业seo外包公司
  • wordpress 位置定位谷歌seo怎么优化
  • 阜宁城乡建设局网站百度搜索推广流程
  • 做淘宝必备网站一站式软文发布推广平台
  • 网站管理工具app推广文案
  • 环保主题网站模板网站降权查询工具
  • 外贸业务怎么利用网站开发客户网络推广优化培训
  • 网站建设简称什么临沂百度联系方式
  • 供应邯郸专业做网站百度电商平台app
  • 网站建设费用表网络营销价格策略有哪些
  • 旅游网站有哪些杭州seo公司服务
  • 网站有没有做网站地图怎么看怎么建立网站平台
  • 什么是做网站何鹏seo